Deep Learning for Analyzing Power Delivery Networks and Thermal Networks

Vidya A. Chhabria, Sachin S. Sapatnekar

Research output: Chapter in Book/Report/Conference proceedingChapter

Abstract

The design of on-chip power delivery networks (PDNs) and thermal networks involves the solution of large systems of linear equations. This computational intensive step is a critical part of the IC design process and has been a significant computational bottleneck for electronic design automation. Machine learning techniques can efficiently solve these problems by performing fast and accurate analysis and optimization. This chapter presents ML methods in this domain: for analyzing PDNs for IR drop and electromigration, for analyzing thermal networks for temperature, for optimizing PDNs by mapping the problem to a classification problem, and for generating PDN benchmarks.

Original languageEnglish (US)
Title of host publicationMachine Learning Applications in Electronic Design Automation
PublisherSpringer Singapore
Pages115-150
Number of pages36
ISBN (Electronic)9783031130748
ISBN (Print)9783031130731
DOIs
StatePublished - Jan 1 2023

Bibliographical note

Publisher Copyright:
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2022.

Keywords

  • Convolutional neural networks (CNN)
  • Electromigration hotspot classification
  • IR drop analysis
  • Long short-term memories (LSTMs)
  • Power delivery network (PDN)
  • Thermal analysis
  • U-Nets

Fingerprint

Dive into the research topics of 'Deep Learning for Analyzing Power Delivery Networks and Thermal Networks'. Together they form a unique fingerprint.

Cite this