Efficient spatial processing element control via triggered instructions

Angshuman Parashar, Michael Pellauer, Michael Adler, Bushra Ahsan, Neal Crago, Daniel Lustig, Vladimir Pavlov, Antonia Zhai, Mohit Gambhir, Aamer Jaleel, Randy Allmon, Rachid Rayess, Stephen Maresh, Joel Emer

Research output: Contribution to journalArticlepeer-review

11 Scopus citations

Abstract

In this article, the authors present triggered instructions, a novel control paradigm for arrays of processing elements (PEs) aimed at exploiting spatial parallelism. Triggered instructions completely eliminate the program counter and allow programs to transition concisely between states without explicit branch instructions. They also allow efficient reactivity to inter-PE communication traffic. The approach provides a unified mechanism to avoid overserialized execution, essentially achieving the effect of techniques such as dynamic instruction reordering and multithreading, which each require distinct hardware mechanisms in a traditional sequential architecture.

Original languageEnglish (US)
Article number6762794
Pages (from-to)120-137
Number of pages18
JournalIEEE Micro
Volume34
Issue number3
DOIs
StatePublished - 2014

Keywords

  • hardware
  • high performance computing
  • networking
  • processing element
  • spatial parallelism
  • triggered instruction

Fingerprint

Dive into the research topics of 'Efficient spatial processing element control via triggered instructions'. Together they form a unique fingerprint.

Cite this