Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion

Amlan Ganguly, Sergi Abadal, Ishan Thakkar, Natalie Enright Jerger, Marc Riedel, Masoud Babaie, Rajeev Balasubramonian, Abu Sebastian, Sudeep Pasricha, Baris Taskin

Research output: Contribution to journalArticlepeer-review

12 Scopus citations

Abstract

The computing world is witnessing a proverbial Cambrian explosion of emerging paradigms propelled by applications, such as artificial intelligence, big data, and cybersecurity. The recent advances in technology to store digital data inside a deoxyribonucleic acid (DNA) strand, manipulate quantum bits (qubits), perform logical operations with photons, and perform computations inside memory systems are ushering in the era of emerging paradigms of DNA computing, quantum computing, optical computing, and in-memory computing. In an orthogonal direction, research on interconnect design using advanced electro-optic, wireless, and microfluidic technologies has shown promising solutions to the architectural limitations of traditional von-Neumann computers. In this article, experts present their comments on the role of interconnects in the emerging computing paradigms, and discuss the potential use of chiplet-based architectures for the heterogeneous integration of such technologies.

Original languageEnglish (US)
Pages (from-to)40-49
Number of pages10
JournalIEEE Micro
Volume42
Issue number3
DOIs
StatePublished - 2022

Bibliographical note

Publisher Copyright:
© 1981-2012 IEEE.

Keywords

  • DNA Computing
  • In-Memory Computing
  • Optical Computing
  • Photonic Interconnects
  • Quantum Computing
  • Wireless Interconnects

Fingerprint

Dive into the research topics of 'Interconnects for DNA, Quantum, In-Memory, and Optical Computing: Insights From a Panel Discussion'. Together they form a unique fingerprint.

Cite this