NoC frequency scaling with flexible-pipeline routers

Pingqiang Zhou, Jieming Yin, Antonia Zhai, Sachin S. Sapatnekar

Research output: Chapter in Book/Report/Conference proceedingConference contribution

15 Scopus citations

Abstract

Voltage and frequency scaling (VFS) for NoC can potentially reduce energy consumption, but the associated increase in latency and degradation in throughput limits its deployment. We propose flexible-pipeline routers that reconfigure pipeline stages upon VFS, so that latency through such routers remains constant. With minimal hardware overhead, the deployment of such routers allows us to reduce network frequency and save network energy, without significant performance degradation. Furthermore, we demonstrate the use of simple performance metrics to determine the optimal operation frequency, considering the energy/performance impact on all aspects of the system the cores, the caches and the interconnection network.

Original languageEnglish (US)
Title of host publicationIEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2011
Pages403-408
Number of pages6
DOIs
StatePublished - 2011
Event17th IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2011 - Fukuoka, Japan
Duration: Aug 1 2011Aug 3 2011

Publication series

NameProceedings of the International Symposium on Low Power Electronics and Design
ISSN (Print)1533-4678

Other

Other17th IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2011
Country/TerritoryJapan
CityFukuoka
Period8/1/118/3/11

Keywords

  • Chip Multiprocessors
  • Frequency Scaling
  • Interconnects
  • NoC
  • Pipelined Router
  • Voltage Scaling

Fingerprint

Dive into the research topics of 'NoC frequency scaling with flexible-pipeline routers'. Together they form a unique fingerprint.

Cite this